Search found 1 match

by Three
Sun May 02, 2021 3:41 pm
Forum: General Discussions
Topic: Implementation of a SPI to I2C "Bridge" in VHDL
Replies: 0
Views: 1846

Implementation of a SPI to I2C "Bridge" in VHDL

Hi everyone, I am currently working on a project involving an FPGA Cyclone 10 Low Power, a Raspberry Pi 4 and MIPI Cameras. The goal is to take images and store data from those cameras in the FPGA. In order to do this, we use an SPI protocol to communicate between the Raspberry Pi and the FPGA. The RPI 4 will be the master and the FPGA will be the ...