Search found 870 matches

by spark2k06
Fri Apr 28, 2023 7:36 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27464

Re: PCXT Core Access to Secondary SD Card

kitune-san wrote: Fri Apr 28, 2023 6:56 am

It may be due to the fact that I fixed the CHS of the drive to h=15 S=63 in this design.
Will reformatting it with a new drive make it usable?

Okay, I understand that it is not dynamic to obtain CHS. I will prepare an image of these characteristics and let you know. I will first try running FDISK and formatting.

by spark2k06
Fri Apr 28, 2023 5:59 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27464

Re: PCXT Core Access to Secondary SD Card

Hi again! I created an MMC drive using SPI, although it took me a while. https://github.com/kitune-san/PCXT_MiSTer/tree/feature-spi-mmc Great job, I have been following your updates. This weekend without fail I will test it for ZXUno and its derivatives based on Xilinx ISE , I will let you know. Thank you very much for your work. I was able to do ...
by spark2k06
Wed Apr 26, 2023 5:24 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27464

Re: PCXT Core Access to Secondary SD Card

kitune-san wrote: Wed Apr 26, 2023 4:48 pm

Hi again!

I created an MMC drive using SPI, although it took me a while.
https://github.com/kitune-san/PCXT_MiST ... re-spi-mmc

Great job, I have been following your updates.

This weekend without fail I will test it for ZXUno and its derivatives based on Xilinx ISE, I will let you know.

Thank you very much for your work.

by spark2k06
Sun Apr 16, 2023 6:46 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: MiSTer PCXT
Replies: 1405
Views: 563311

Re: MiSTer PCXT

SegaSnatcher wrote: Sun Apr 16, 2023 4:29 am

What is the Tandy 1000 bios name? Whatever I downloaded doesn't show up when I try to set a bios in the menu.

Please refer to the README:

https://github.com/MiSTer-devel/PCXT_Mi ... structions

by spark2k06
Sun Apr 16, 2023 6:45 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27464

Re: PCXT Core Access to Secondary SD Card

PCXT core of the MiSTer FPGA was recently updated.But However, the screen shakes slightly overall in Hercules mode. There was no such symptom before. It runs normally in CGA mode. Can you please check? When the Display option is set to full color, there is no shaking, but this symptom appears when it is set to mono color (green, amber, white). I h...
by spark2k06
Sun Apr 16, 2023 6:44 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: MiSTer PCXT
Replies: 1405
Views: 563311

Re: MiSTer PCXT

PCXT core of the MiSTer FPGA was recently updated.But However, the screen shakes slightly overall in Hercules mode. There was no such symptom before. It runs normally in CGA mode. When the Display option is set to full color, there is no shaking, but this symptom appears when it is set to mono color (green, amber, white).Can you please check? A vi...
by spark2k06
Sat Apr 08, 2023 5:17 pm
Forum: MiSTer Updates & Changelog
Topic: MiSTer updates and changelog
Replies: 784
Views: 3933198

Re: MiSTer updates and changelog

PCXT : - Always register SDRAM input to make use of Fast Input Registers - Remove some unnecessary clock domain crossings - Generate the opl2_cen in the clk_chipset domain - Fix the rate which used to calculate fractional CE 's, SAA ( CMS ) tone pitch is now correct Thanks @gyurco for these improvements, @somhi for the pull request and @kitune-san...
by spark2k06
Sat Apr 08, 2023 5:00 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27464

Re: PCXT Core Access to Secondary SD Card

Having created a simple assembler, I am creating a program to access the SD card using SPI. However, since this is just replacing a complex combinational circuit with a RAM or ROM block, I am not sure how much of a resource reduction I can expect. Don’t get your hopes up. I’m not that good. ;) No matter, any improvement is good, and for me it is, ...
by spark2k06
Sun Apr 02, 2023 10:21 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27464

Re: PCXT Core Access to Secondary SD Card

I have added new models based on Xilinx XC6SLX : https://github.com/spark2k06/PCXT_ZXUno Readme.png It might be better to replace the KFMMC state machine with a micro sequencer. I've already seen that you've started a new microsequencer project in your GitHub repository ;-) If it is finally applied to SD handling, the more modest, XC6SLX9 -based mo...
by spark2k06
Tue Mar 28, 2023 4:00 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27464

Re: PCXT Core Access to Secondary SD Card

UPDATE: Changed the MMC to operate in open-drain mode during reset. This avoids high current during clock transmission when resetting a card in an indeterminate state. https://github.com/kitune-san/PCXT_MiSTer/commit/de5c0147603ff890b76254d862e29e52bf7b5c1a I confirm that this change also works well, I notice that it works better... however, I sti...
by spark2k06
Mon Mar 27, 2023 6:07 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: Issue with EuroProf software on Tandy 1000
Replies: 11
Views: 4940

Re: Issue with EuroProf software on Tandy 1000

thank you @spark2k06, I've just tried with the 1.03.00 Bios and I do confirm that the programs work with this Bios on my side as well. Unfortunately not really usable on a long term as you mentioned for incompatibilities concerns with other apps/games. It's a shame than not only one Bios can run everything. But at least it is good to have the opti...
by spark2k06
Mon Mar 27, 2023 4:03 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27464

Re: PCXT Core Access to Secondary SD Card

The following changes can be made to stop using the open drain output (change to push-pull output) to speed up the transfer rate. It is working fine in my development environment.(32GB SD + MiSTer) However, releases should be made with caution, as incorrect implementation can damage hardware. https://github.com/kitune-san/PCXT_MiSTer/commit/0ec0d9...
by spark2k06
Mon Mar 27, 2023 1:39 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: Issue with EuroProf software on Tandy 1000
Replies: 11
Views: 4940

Re: Issue with EuroProf software on Tandy 1000

This is due to the version of the Tandy BIOS used. For compatibility, the core requires version 1.01.00 but the software you are talking about uses something it doesn't have... however, try version 1.03.00 and you will see that it works: http://retrograde.inf.ua/tandyroms.htm 20230327_153302-screen.png For this software you can use this version, bu...
by spark2k06
Mon Mar 27, 2023 5:34 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27464

Re: PCXT Core Access to Secondary SD Card

Lately I have not been improving my MMC module. I will restart that soon. :) For my part, I have redesigned the core for the ZXUno and derivatives, both clones and higher-resource Xilinx FPGA versions: https://github.com/spark2k06/PCXT_ZXUno ZXUno 2Mb model ZXUno 2Mb model.jpg Now there are a few models, but soon I will expand the repository with ...
by spark2k06
Mon Mar 27, 2023 5:15 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: Issue with EuroProf software on Tandy 1000
Replies: 11
Views: 4940

Re: Issue with EuroProf software on Tandy 1000

Curious, I'll take a look at it when I can. Can you try just in case with a previous version of the core, before the CRTC module was changed? Just tried with the 20/01/2023 revision and still same issue. Thank you, please provide me with the image privately and as soon as I have some time I'll take a look to see if I can find out something.
by spark2k06
Wed Mar 22, 2023 8:50 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: Issue with EuroProf software on Tandy 1000
Replies: 11
Views: 4940

Re: Issue with EuroProf software on Tandy 1000

Curious, I'll take a look at it when I can. Can you try just in case with a previous version of the core, before the CRTC module was changed?

by spark2k06
Mon Mar 13, 2023 1:53 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: MiSTer PCXT
Replies: 1405
Views: 563311

Re: MiSTer PCXT

20230312_205708-screen.png that's what i get with the last build from today ... the area5150 work to the end before but graphics glitchs are almost gone !!! good work None of the changes have a direct impact on CPU , BIOS or system performance that could lead to a crash and termination of the demo... only the graphics section is affected. I recomm...
by spark2k06
Sun Mar 12, 2023 4:42 pm
Forum: MiSTer Updates & Changelog
Topic: MiSTer updates and changelog
Replies: 784
Views: 3933198

Re: MiSTer updates and changelog

PCXT : - CGA CRTC updated to UM6845R - Hercules CRTC updated to UM6845R Several glitches of AREA5150 have been solved. The only glitch is the final part of the demo, the water effect. There are also some minor issues with the edges that have yet to be resolved, which are more evident in this demo, but overall it looks very good. Thank you @gyurco ...
by spark2k06
Sat Mar 11, 2023 7:19 pm
Forum: MiSTer Updates & Changelog
Topic: MiSTer updates and changelog
Replies: 784
Views: 3933198

Re: MiSTer updates and changelog

PCXT : - XTIDE ROM update: The Full Operation Mode option is now available in the .\SW\ROMs\BASICA folder , in the default .\SW\ROMs folder the previous version is kept, after it was detected that XTIDE 's Full Operation Mode option generates problems with several games in Tandy mode. Thanks akeley for report it. Therefore, it is now up to the use...
by spark2k06
Tue Mar 07, 2023 8:16 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: How to Run Problematic Games
Replies: 33
Views: 14850

Re: How to Run Problematic Games

akeley wrote: Tue Mar 07, 2023 7:05 am

I found some more examples of games which will only work on Tandy 1000 with the old xtide bios (Pirates!, Aaargh, Three Stooges, and more), so this is not just about Sierra games.

Therefore, the possibility of adding the current XTIDE option as an alternative, instead of the default, should be considered.

by spark2k06
Mon Mar 06, 2023 6:43 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27464

Re: PCXT Core Access to Secondary SD Card

I'm not familiar with ISE, so I could be wrong... it probably affects both. The reason the result is "All constrains met" before my constraints are added is because it is unconstrained. Since they are unconstrained, they can be freely placed and are not analyzed. Good to know. The fact is that with and without the change, everything seem...
by spark2k06
Sat Mar 04, 2023 7:04 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27464

Re: PCXT Core Access to Secondary SD Card

I can't be 100% sure right now, but I think the problem when it is not recognized, is that it doesn't return a 1AAh in response and goes into a loop. I think I know what's going on. Everything indicates that, when the SD card has been previously used in SPI mode, the PCXT core doesn't recognize it... it's like it's in that mode. That's why it seem...
by spark2k06
Sat Mar 04, 2023 4:38 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27464

Re: PCXT Core Access to Secondary SD Card

I am not so sure about ISE as this is the first time I am using it. ...Apparently, since the input clock was not constrained, the DCM clock using it was also not constrained. Removed comment on input clock constraints. I have also added TIG constraints between cross-clock domains. https://github.com/kitune-san/PCXT_ZXUno/tree/unoxt_unoxt2_vram2bra...
by spark2k06
Sat Mar 04, 2023 1:53 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27464

Re: PCXT Core Access to Secondary SD Card

I installed ISE, but I don't know how to do timing analysis... Timing constrains have always been one of my pending tasks, I can't help you with this, but maybe this link will help you: https://support.xilinx.com/s/article/38087?language=en_US By the way, later I will make an analysis of MMC controller, to see at what point the command state is le...
by spark2k06
Sat Mar 04, 2023 6:12 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27464

Re: PCXT Core Access to Secondary SD Card

oh. I've been using SystemVerilog so much that I've forgotten the Verirlog specs... :roll: The previous commit is revert. Don't worry. It would seem strange to me, because I have already converted all your KFPCXT project with the tool that I comment ( sv2v ) and already worked so far everything well, I have only made some small adjustments in what...
by spark2k06
Fri Mar 03, 2023 3:19 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27464

Re: PCXT Core Access to Secondary SD Card

kitune-san wrote: Fri Mar 03, 2023 2:52 pm

I fixed the wrong declaration of signals that occurred during conversion.
Please give it a try when you have time.

https://github.com/kitune-san/PCXT_ZXUn ... ab74e5b6bc

None of these changes is possible, all of them result in errors like these in the synthesis:

WireRegs.png
WireRegs.png (79.71 KiB) Viewed 5035 times
by spark2k06
Fri Mar 03, 2023 2:08 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27464

Re: PCXT Core Access to Secondary SD Card

When I used ZXUno files in MiSTer, the MMC module behaved strangely. It appears that there are some incorrect conversions of reg and wire. This will be corrected manually, so it will take some time. Also, there was one undeclared signal that I will fix as well. 2023-03-03 224904.png Good idea to use the verilog version in MiSTer for debugging!
by spark2k06
Fri Mar 03, 2023 5:13 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27464

Re: PCXT Core Access to Secondary SD Card

There may be a timing-related problem inside the FPGA, or there may be a problem with the verilog conversion. First, I will replace the mister's mmc module with the one used in zxuno. I will try this when I have time. Thanks, I for one, for entertainment, will try to understand what might be going on, also at times in my spare time. Debugging opti...
by spark2k06
Thu Mar 02, 2023 6:42 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27464

Re: PCXT Core Access to Secondary SD Card

If you have time, Could you connect the control_state signal of KFMMC_Controller.sv to the test port? By looking at this signal, you may be able to tell which process is failing. That will be the next thing I do... because before trying to make a physical PULLUP with 10K resistors in the UnoXT , I opted to make this simple change in the MiSTer PCX...
by spark2k06
Thu Mar 02, 2023 8:16 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27464

Re: PCXT Core Access to Secondary SD Card

In the UnoXT design, SD pins 8 and 9 are in the air, unlike the ZXUno. There doesn't seem to be any problems working over SPI, but we'll see if in native mode there are.... I will also try to physically force some PULLUPs with resistors here:

D1 & D2 SD pins.jpg
D1 & D2 SD pins.jpg (74.16 KiB) Viewed 3495 times