MiSTer PCXT

dmckean
Posts: 307
Joined: Sat Jan 16, 2021 7:03 am
Has thanked: 387 times
Been thanked: 95 times

Re: MiSTer PCXT

Unread post by dmckean »

suww37 wrote: Wed Jul 20, 2022 2:17 am
dmckean wrote: Wed Jul 20, 2022 2:12 am Extra horizontal scan resolution doesn't really matter much. 1280 is a multiple of both 320 and 640 and should look fine.

I tested it with a 15khz sony pvm monitor. The top part shakes a lot and breaks. 1280X200 resolution is not normally output on crt monitors or TVs that support 15khz output.
If that's happening there are other problems with the timings. I have a groovemame setup and sometimes we use what's called "super resolutions" which are resolutions like 2560x240 which will work for many, many different arcade games and display perfectly fine on a 15khz monitor.
suww37
Posts: 173
Joined: Sun Apr 17, 2022 2:24 am
Has thanked: 7 times
Been thanked: 15 times

Re: MiSTer PCXT

Unread post by suww37 »

dmckean wrote: Wed Jul 20, 2022 2:22 am
suww37 wrote: Wed Jul 20, 2022 2:17 am
dmckean wrote: Wed Jul 20, 2022 2:12 am Extra horizontal scan resolution doesn't really matter much. 1280 is a multiple of both 320 and 640 and should look fine.

I tested it with a 15khz sony pvm monitor. The top part shakes a lot and breaks. 1280X200 resolution is not normally output on crt monitors or TVs that support 15khz output.
If that's happening there are other problems with the timings. I have a groovemame setup and sometimes we use what's called "super resolutions" which are resolutions like 2560x240 which will work for many, many different arcade games and display perfectly fine on a 15khz monitor.
Do you know the proper timing values ​​for PCXT core (1280x200)? I had no problems with the other core 15khz.
User avatar
spark2k06
Core Developer
Posts: 864
Joined: Sat Jun 06, 2020 9:05 am
Has thanked: 409 times
Been thanked: 961 times

Re: MiSTer PCXT

Unread post by spark2k06 »

spark2k06 wrote: Tue Jul 19, 2022 5:23 pm
somhi wrote: Tue Jul 19, 2022 2:38 pm Of these blocks, what is feasible to move to SDRAM are the 64Kb + 16Kb of BIOS, with a little effort, I think you can use the same RAM controller developed by kitune-san, to preload there the data coming from IOCTL.
A new sdram controller needs to be developed that manages all the callings for RAM, BIOS and VRAM and then accesses R/W the SDRAM
I already saw it, but specifically for the BIOS ROM and XTIDE part, I still think it is possible to use the RAM module already developed by kitune-san, which in turn uses the SDRAM controller also developed by him, for loading from IOCTL at the beginning of the core, when the ioctl_download signal is active... but tests should be carried out to make sure of this.
User avatar
spark2k06
Core Developer
Posts: 864
Joined: Sat Jun 06, 2020 9:05 am
Has thanked: 409 times
Been thanked: 961 times

Re: MiSTer PCXT

Unread post by spark2k06 »

Newsdee wrote: Wed Jul 20, 2022 1:51 am
suww37 wrote: Wed Jul 20, 2022 1:46 am Is it possible to output CGA 15Khz?
The core is outputing 15Khz already but at the wrong resolution. It's related to the issue I talk about in my last post.
.
20220719_203613.jpg

I need to double check but I think MDA may be working better. Perhaps the issue is CE_PIXEL=1 is tied to the MDA video frequency. That could be changed (make it tied to CGA), but I do like the ability to use either MDA or CGA. Would be a shame to lose MDA, but maybe just as temporary measure until the video handling can be sorted out properly.
I don't know much about the handling of video in MiSTer, if you make improvements in this regard, a pull request would be welcome 8-)

Regarding the CGA resolution, 640x200 should always be used... 320x200 resolutions are actually 640x200 with the pixels doubled.
User avatar
spark2k06
Core Developer
Posts: 864
Joined: Sat Jun 06, 2020 9:05 am
Has thanked: 409 times
Been thanked: 961 times

Re: MiSTer PCXT

Unread post by spark2k06 »

spark2k06 wrote: Tue Jul 19, 2022 5:12 pm
kitune-san wrote: Tue Jul 19, 2022 2:21 pm Thank you for testing :D
I sent a pull request to @spark2k06.
Thank you! Tomorrow I will accept the pull request and leave the two prebeta repositories updated.
Pull request accepted and prebeta-1.5-turbo branch updated with this improvement as well.
Attachments
PCXT_PREBETA_1_5_TURBO_03.zip
(1.01 MiB) Downloaded 119 times
PCXT_PREBETA_1_5_03.zip
(1023.25 KiB) Downloaded 124 times
MicroCoreLabs
Core Developer
Posts: 96
Joined: Sun Jun 05, 2022 6:12 pm
Location: California
Has thanked: 6 times
Been thanked: 86 times
Contact:

Re: MiSTer PCXT

Unread post by MicroCoreLabs »

I already saw it, but specifically for the BIOS ROM and XTIDE part, I still think it is possible to use the RAM module already developed by kitune-san, which in turn uses the SDRAM controller also developed by him, for loading from IOCTL at the beginning of the core, when the ioctl_download signal is active... but tests should be carried out to make sure of this.
Or maybe:
- locate the 64kb of BIOS ROM range to SDRAM - will be undefined at powerup
- preload the 64KB of CGA and MDA video BRAMs with the BIOS data using the FPGA programming file
- before the 8088 is unreset, copy this data from the video BRAMs to the BIOS address range in SDRAM.

If you plan to support both video controllers using BRAM, then this might be a convenient place to store 64KB of the BIOS data, and then copy it over to SDRAM with a small state machine which runs at powerup.
User avatar
spark2k06
Core Developer
Posts: 864
Joined: Sat Jun 06, 2020 9:05 am
Has thanked: 409 times
Been thanked: 961 times

Re: MiSTer PCXT

Unread post by spark2k06 »

MicroCoreLabs wrote: Wed Jul 20, 2022 6:59 am Or maybe:
- locate the 64kb of BIOS ROM range to SDRAM - will be undefined at powerup
- preload the 64KB of CGA and MDA video BRAMs with the BIOS data using the FPGA programming file
- before the 8088 is unreset, copy this data from the video BRAMs to the BIOS address range in SDRAM.

If you plan to support both video controllers using BRAM, then this might be a convenient place to store 64KB of the BIOS data, and then copy it over to SDRAM with a small state machine which runs at powerup.
However, there is a part of this memory that is part of the text mode VRAM, and I use it to display the splash screen.

In any case, these would be solutions aimed at other FPGAs with lower capacity, although they would be very welcome solutions if they have a good approach and someone implements them.
User avatar
Newsdee
Top Contributor
Posts: 830
Joined: Mon May 25, 2020 1:07 am
Has thanked: 98 times
Been thanked: 209 times

Re: MiSTer PCXT

Unread post by Newsdee »

suww37 wrote: Wed Jul 20, 2022 3:50 am Do you know the proper timing values ​​for PCXT core (1280x200)? I had no problems with the other core 15khz.
Can you try if this build works better for you?
I could not fix the filters, but I managed to get the CGA resolution down to 640x200...
-
PCXT_CEtest2.rbf
(3.1 MiB) Downloaded 125 times
spark2k06 wrote: Wed Jul 20, 2022 7:23 am However, there is a part of this memory that is part of the text mode VRAM, and I use it to display the splash screen.
The splash screen has double the horizontal resolution of the CGA mode (e.g. after my change it's 1280x200).
Where is the code that draws its display? Maybe its clock needs adjusting.
akeley
Top Contributor
Posts: 1303
Joined: Mon May 25, 2020 7:54 pm
Has thanked: 416 times
Been thanked: 399 times

Re: MiSTer PCXT

Unread post by akeley »

I have finally got it going during the last week - big thanks to Newsdee & remax for help with that! The core is amazing, it's so great to have a proper speed for the early games, so kudos to spark2k06 and all the collaborators.

The 15kHz works natively, is scaled 1:1 and is the next best thing in this core, seeing as we need modelines for that in ao486. As dmckean says, 1280x200 is fine, it's a superresolution the likes of which we use all the time for 15kHz on MiSTer. There is a "but" though, seeing as on a PVM the DOS text displays fine, but once I start a game the image sort of fades away. Meanwhile, on my consumer CRT TV set it's okay. Might be something to do with sync, since they are handled differently on PVMs, or maybe something else with the signal. That's a minor snag to consider in the future though, since in general 15 kHz CGA is working, alongside all the colour modes (and looks really amazing).

IMG-20220720-105330.jpg
IMG-20220720-105330.jpg (4.91 MiB) Viewed 1833 times
User avatar
wark91
Core Developer
Posts: 334
Joined: Sun May 24, 2020 8:34 pm
Has thanked: 447 times
Been thanked: 94 times

Re: MiSTer PCXT

Unread post by wark91 »

Newsdee wrote: Wed Jul 20, 2022 7:35 am
suww37 wrote: Wed Jul 20, 2022 3:50 am Do you know the proper timing values ​​for PCXT core (1280x200)? I had no problems with the other core 15khz.
Can you try if this build works better for you?
I could not fix the filters, but I managed to get the CGA resolution down to 640x200...
-
PCXT_CEtest2.rbf

spark2k06 wrote: Wed Jul 20, 2022 7:23 am However, there is a part of this memory that is part of the text mode VRAM, and I use it to display the splash screen.
The splash screen has double the horizontal resolution of the CGA mode (e.g. after my change it's 1280x200).
Where is the code that draws its display? Maybe its clock needs adjusting.
Working great ! the resolution is 640x200 at 15Khz.
The Splash Screen is now 1280x200 at 7.83 Khz. With this frequency, I think in most of the cases we needs to set "vsync_adjust=0" not to loose sync on the monitor.
User avatar
Newsdee
Top Contributor
Posts: 830
Joined: Mon May 25, 2020 1:07 am
Has thanked: 98 times
Been thanked: 209 times

Re: MiSTer PCXT

Unread post by Newsdee »

wark91 wrote: Wed Jul 20, 2022 9:36 am The Splash Screen is now 1280x200 at 7.83 Khz. With this frequency, I think in most of the cases we needs to set "vsync_adjust=0" not to loose sync on the monitor.
I haven't yet found where the splash screen frequency is set, but it needs to change
User avatar
spark2k06
Core Developer
Posts: 864
Joined: Sat Jun 06, 2020 9:05 am
Has thanked: 409 times
Been thanked: 961 times

Re: MiSTer PCXT

Unread post by spark2k06 »

Newsdee wrote: Wed Jul 20, 2022 12:30 pm
wark91 wrote: Wed Jul 20, 2022 9:36 am The Splash Screen is now 1280x200 at 7.83 Khz. With this frequency, I think in most of the cases we needs to set "vsync_adjust=0" not to loose sync on the monitor.
I haven't yet found where the splash screen frequency is set, but it needs to change
Well, I'll tell you that even I don't know, it's something I've noticed, the fact that between splash screen and BIOS execution (when touching the CGA registers) the frequency changed, but it's something I had pending to discover... if you find something you'll let me know, tomorrow I'll check the RBF you've passed, but it looks very good ;)
User avatar
Newsdee
Top Contributor
Posts: 830
Joined: Mon May 25, 2020 1:07 am
Has thanked: 98 times
Been thanked: 209 times

Re: MiSTer PCXT

Unread post by Newsdee »

spark2k06 wrote: Wed Jul 20, 2022 1:14 pm if you find something you'll let me know, tomorrow I'll check the RBF you've passed, but it looks very good ;)
I got lucky :D sent you a PR so you can review the change
akeley
Top Contributor
Posts: 1303
Joined: Mon May 25, 2020 7:54 pm
Has thanked: 416 times
Been thanked: 399 times

Re: MiSTer PCXT

Unread post by akeley »

Newsdee wrote: Wed Jul 20, 2022 7:35 am Can you try if this build works better for you?
I could not fix the filters, but I managed to get the CGA resolution down to 640x200...
I've tried your version but it acts the same as the prebeta 1.4 I've been using till now, ie the splash screen is out of sync and DOS looks fine. But it doesn't detect my hdd image (which works fine with prebetas), so I can't say if it solves the PVM display problem, which occurs only in games themselves.

But I doubt resolution change will affect anything, since it's already working fine on my consumer set @1280x200. PVMs should handle that easily too, they do for all other cores which use super resolutions (most of them). So I think the problem most likely lies somewhere else.
suww37
Posts: 173
Joined: Sun Apr 17, 2022 2:24 am
Has thanked: 7 times
Been thanked: 15 times

Re: MiSTer PCXT

Unread post by suww37 »

Newsdee wrote: Wed Jul 20, 2022 12:30 pm
wark91 wrote: Wed Jul 20, 2022 9:36 am The Splash Screen is now 1280x200 at 7.83 Khz. With this frequency, I think in most of the cases we needs to set "vsync_adjust=0" not to loose sync on the monitor.
I haven't yet found where the splash screen frequency is set, but it needs to change

The screen is so crushedin 15khz sony pvm monitor. Is there a solution? Is timing the problem?
Attachments
08760EC0-A367-4AFC-B7F7-A3956CCCBC6B.jpeg
08760EC0-A367-4AFC-B7F7-A3956CCCBC6B.jpeg (6.2 MiB) Viewed 3203 times
kitune-san
Top Contributor
Posts: 401
Joined: Wed May 18, 2022 11:20 am
Has thanked: 127 times
Been thanked: 412 times

Re: MiSTer PCXT

Unread post by kitune-san »

flynnsbit wrote: Tue Jul 19, 2022 9:43 pm
NML32 wrote: Tue Jul 19, 2022 9:27 pm
kitune-san wrote: Tue Jul 19, 2022 1:19 pm I added key code conversion for Tandy mode
However, I have not prepared an application that uses the cursor keys.
Could someone please try this core?
For the Tandy mode, would it be possible to map the backslash \ key to the \ key this would come in handy for those that don't have a full-size keyboard connected to their MiSTer? On the full-size keyboards in Tandy mode, the \ key is currently mapped to the 7 key on the number pad.
Just to add to this,

Code: Select all

`, ~, |, and \
are the ones that should be added and mapped. Everything else feels good.
Hmm..
It is possible to assign the ` and | keys, but difficult to assign the ~ and \ keys.
At first, I thought of a way to monitor the shift key and convert it to a ~ or \ key code when the shift + ` or | key is pressed.
In Tandy, however, the shift + ` or | key combination is assigned to the 8 or 7 key.
The converter needs to cancel the previously sent shift in some way, which cannot be solved with the current method.

If there is any available key, I will assign it there.

This is test rbf file.
Attachments
PCXT.zip
(1.02 MiB) Downloaded 112 times
User avatar
Newsdee
Top Contributor
Posts: 830
Joined: Mon May 25, 2020 1:07 am
Has thanked: 98 times
Been thanked: 209 times

Re: MiSTer PCXT

Unread post by Newsdee »

suww37 wrote: Wed Jul 20, 2022 2:03 pm The screen is so crushedin 15khz sony pvm monitor. Is there a solution? Is timing the problem?
Is this with my test build?
User avatar
Newsdee
Top Contributor
Posts: 830
Joined: Mon May 25, 2020 1:07 am
Has thanked: 98 times
Been thanked: 209 times

Re: MiSTer PCXT

Unread post by Newsdee »

spark2k06 wrote: Wed Jul 20, 2022 1:14 pm Well, I'll tell you that even I don't know, it's something I've noticed, the fact that between splash screen and BIOS execution (when touching the CGA registers) the frequency changed, but it's something I had pending to discover... if you find something you'll let me know, tomorrow I'll check the RBF you've passed, but it looks very good ;)
Where did the splashscreen come from, originally? I tried to disable it (passing 0 to the Chipset module) but it still shows!
Whatever is initializing it has wrong clocks; it's only when it goes away that the clock becomes what we specify in the top module.
dmckean
Posts: 307
Joined: Sat Jan 16, 2021 7:03 am
Has thanked: 387 times
Been thanked: 95 times

Re: MiSTer PCXT

Unread post by dmckean »

suww37 wrote: Wed Jul 20, 2022 3:50 am
dmckean wrote: Wed Jul 20, 2022 2:22 am
suww37 wrote: Wed Jul 20, 2022 2:17 am


I tested it with a 15khz sony pvm monitor. The top part shakes a lot and breaks. 1280X200 resolution is not normally output on crt monitors or TVs that support 15khz output.
If that's happening there are other problems with the timings. I have a groovemame setup and sometimes we use what's called "super resolutions" which are resolutions like 2560x240 which will work for many, many different arcade games and display perfectly fine on a 15khz monitor.
Do you know the proper timing values ​​for PCXT core (1280x200)? I had no problems with the other core 15khz.
No, it's something we have to make up on our own since it's not how the original hardware worked so you can't just measure it or something. MDA and CGA used a TTL interface to connect with the monitor. Having the DAC located in the monitor instead of on the graphics card allowed for the clear text modes that IBM PCs were famous for at the time.
suww37
Posts: 173
Joined: Sun Apr 17, 2022 2:24 am
Has thanked: 7 times
Been thanked: 15 times

Re: MiSTer PCXT

Unread post by suww37 »

Newsdee wrote: Wed Jul 20, 2022 3:38 pm
suww37 wrote: Wed Jul 20, 2022 2:03 pm The screen is so crushedin 15khz sony pvm monitor. Is there a solution? Is timing the problem?
Is this with my test build?
Not only does your bulid have this symptom, but other pcxt cores have the same symptom. I don't know why. There are no other arcade cores and console cores.
User avatar
spark2k06
Core Developer
Posts: 864
Joined: Sat Jun 06, 2020 9:05 am
Has thanked: 409 times
Been thanked: 961 times

Re: MiSTer PCXT

Unread post by spark2k06 »

kitune-san wrote: Wed Jul 20, 2022 2:11 pm
It is possible to assign the ` and | keys, but difficult to assign the ~ and \ keys.
At first, I thought of a way to monitor the shift key and convert it to a ~ or \ key code when the shift + ` or | key is pressed.
In Tandy, however, the shift + ` or | key combination is assigned to the 8 or 7 key.
The converter needs to cancel the previously sent shift in some way, which cannot be solved with the current method.

If there is any available key, I will assign it there.

This is test rbf file.
Thank you, in any case, it is already an improvement. If you want to send PR to the prebeta branch ;)
User avatar
Newsdee
Top Contributor
Posts: 830
Joined: Mon May 25, 2020 1:07 am
Has thanked: 98 times
Been thanked: 209 times

Re: MiSTer PCXT

Unread post by Newsdee »

suww37 wrote: Wed Jul 20, 2022 9:34 pm Not only does your bulid have this symptom, but other pcxt cores have the same symptom.
Thanks. That tells me its not the resolution but something else. Maybe a sync issue. I don't yet fully understand how the core is handling video, but there is something non standard going on.
somhi
Posts: 88
Joined: Mon Jul 18, 2022 4:37 pm
Has thanked: 25 times
Been thanked: 25 times

Re: MiSTer PCXT

Unread post by somhi »

Newsdee wrote: Wed Jul 20, 2022 5:09 pm Where did the splashscreen come from, originally? I tried to disable it (passing 0 to the Chipset module) but it still shows!
Whatever is initializing it has wrong clocks; it's only when it goes away that the clock becomes what we specify in the top module.
The splash.hex is copied directly to the VRAM inside the VRAM module If I recall correctly. I also wanted to change resolution of the splash as in CGA mode it is not shown on screen if you remove all the MiSTer scaling stuff.
In my non-MiSTer ports this is why I start the core with MDA by default.
User avatar
spark2k06
Core Developer
Posts: 864
Joined: Sat Jun 06, 2020 9:05 am
Has thanked: 409 times
Been thanked: 961 times

Re: MiSTer PCXT

Unread post by spark2k06 »

somhi wrote: Thu Jul 21, 2022 7:10 am
Newsdee wrote: Wed Jul 20, 2022 5:09 pm Where did the splashscreen come from, originally? I tried to disable it (passing 0 to the Chipset module) but it still shows!
Whatever is initializing it has wrong clocks; it's only when it goes away that the clock becomes what we specify in the top module.
The splash.hex is copied directly to the VRAM inside the VRAM module If I recall correctly. I also wanted to change resolution of the splash as in CGA mode it is not shown on screen if you remove all the MiSTer scaling stuff.
In my non-MiSTer ports this is why I start the core with MDA by default.
Issue resolved, the change in splash screen resolution was due to an error in the initial assignment of bit 0 of the CGA control register:

https://github.com/spark2k06/PCXT_MiSTe ... 04a1968c84
Attachments
PCXT_PREBETA_1_5_TURBO_04.zip
(1.02 MiB) Downloaded 124 times
PCXT_PREBETA_1_5_04.zip
(1.01 MiB) Downloaded 124 times
suww37
Posts: 173
Joined: Sun Apr 17, 2022 2:24 am
Has thanked: 7 times
Been thanked: 15 times

Re: MiSTer PCXT

Unread post by suww37 »

spark2k06 wrote: Thu Jul 21, 2022 7:28 am
somhi wrote: Thu Jul 21, 2022 7:10 am
Newsdee wrote: Wed Jul 20, 2022 5:09 pm Where did the splashscreen come from, originally? I tried to disable it (passing 0 to the Chipset module) but it still shows!
Whatever is initializing it has wrong clocks; it's only when it goes away that the clock becomes what we specify in the top module.
The splash.hex is copied directly to the VRAM inside the VRAM module If I recall correctly. I also wanted to change resolution of the splash as in CGA mode it is not shown on screen if you remove all the MiSTer scaling stuff.
In my non-MiSTer ports this is why I start the core with MDA by default.
Issue resolved, the change in splash screen resolution was due to an error in the initial assignment of bit 0 of the CGA control register:

https://github.com/spark2k06/PCXT_MiSTe ... 04a1968c84
The prebeta pcxt core you upload does not recognize uart hdd. 2 pcxt beta 1.4 released to github is recognized.
User avatar
spark2k06
Core Developer
Posts: 864
Joined: Sat Jun 06, 2020 9:05 am
Has thanked: 409 times
Been thanked: 961 times

Re: MiSTer PCXT

Unread post by spark2k06 »

suww37 wrote: Thu Jul 21, 2022 12:35 pm The prebeta pcxt core you upload does not recognize uart hdd. 2 pcxt beta 1.4 released to github is recognized.
spark2k06 wrote: Mon Jul 18, 2022 8:39 am
I also attach the experimental turbo version, with CPU speed selection from OSD. For the moment we keep it separate in an experimental branch, because with the latest SDRAM changes it loses cycle accuracy in normal mode at 4.77MHz. Also, if 4.77Mhz is selected in the experimental version in turbo mode, serdrive does not work at 460.8K, it must be lowered to 230.4K.

https://github.com/spark2k06/PCXT_MiSTe ... rebeta-1.5
https://github.com/spark2k06/PCXT_MiSTe ... -1.5-turbo
suww37
Posts: 173
Joined: Sun Apr 17, 2022 2:24 am
Has thanked: 7 times
Been thanked: 15 times

Re: MiSTer PCXT

Unread post by suww37 »

spark2k06 wrote: Thu Jul 21, 2022 12:46 pm
suww37 wrote: Thu Jul 21, 2022 12:35 pm The prebeta pcxt core you upload does not recognize uart hdd. 2 pcxt beta 1.4 released to github is recognized.
spark2k06 wrote: Mon Jul 18, 2022 8:39 am
I also attach the experimental turbo version, with CPU speed selection from OSD. For the moment we keep it separate in an experimental branch, because with the latest SDRAM changes it loses cycle accuracy in normal mode at 4.77MHz. Also, if 4.77Mhz is selected in the experimental version in turbo mode, serdrive does not work at 460.8K, it must be lowered to 230.4K.

https://github.com/spark2k06/PCXT_MiSTe ... rebeta-1.5
https://github.com/spark2k06/PCXT_MiSTe ... -1.5-turbo
I see. I got it well.
suww37
Posts: 173
Joined: Sun Apr 17, 2022 2:24 am
Has thanked: 7 times
Been thanked: 15 times

Re: MiSTer PCXT

Unread post by suww37 »

Newsdee wrote: Thu Jul 21, 2022 3:10 am
suww37 wrote: Wed Jul 20, 2022 9:34 pm Not only does your bulid have this symptom, but other pcxt cores have the same symptom.
Thanks. That tells me its not the resolution but something else. Maybe a sync issue. I don't yet fully understand how the core is handling video, but there is something non standard going on.

Is it a sync problem? However, in other people's crt, 15khz is output normally, so I don't understand why I'm the only one who can't output it. Do you think there is a problem with the pcxt core? What kind of effort should I do to solve this? I'd like to see the 15khz crt screen.
dmckean
Posts: 307
Joined: Sat Jan 16, 2021 7:03 am
Has thanked: 387 times
Been thanked: 95 times

Re: MiSTer PCXT

Unread post by dmckean »

suww37 wrote: Thu Jul 21, 2022 1:08 pm
Newsdee wrote: Thu Jul 21, 2022 3:10 am
suww37 wrote: Wed Jul 20, 2022 9:34 pm Not only does your bulid have this symptom, but other pcxt cores have the same symptom.
Thanks. That tells me its not the resolution but something else. Maybe a sync issue. I don't yet fully understand how the core is handling video, but there is something non standard going on.

Is it a sync problem? However, in other people's crt, 15khz is output normally, so I don't understand why I'm the only one who can't output it. Do you think there is a problem with the pcxt core? What kind of effort should I do to solve this? I'd like to see the 15khz crt screen.
Some CRTs are able to handle an off specification sync signal much better than others. In my experience so far on this project, it's normal for cores to have wonky video this early on. It's an early beta and you should just deal with it for now.
User avatar
Newsdee
Top Contributor
Posts: 830
Joined: Mon May 25, 2020 1:07 am
Has thanked: 98 times
Been thanked: 209 times

Re: MiSTer PCXT

Unread post by Newsdee »

suww37 wrote: Thu Jul 21, 2022 1:08 pm What kind of effort should I do to solve this? I'd like to see the 15khz crt screen.
I did not try it with the PCXT core, but in general my PVM requires the INI file to have composite_sync=1 to work well.
Maybe check if you have that on? If not, worth a try
Post Reply